Featured post

Top 5 books to refer for a VHDL beginner

VHDL (VHSIC-HDL, Very High-Speed Integrated Circuit Hardware Description Language) is a hardware description language used in electronic des...

Thursday 27 February 2014

Assertion Debugging in Questa – few tips

Playing around debugging some complex assertions in Qeusta? Here are some tips:

1. Use vsim –assertdebug

2. Add –novopt for trivial code containing assertions + stim alone as otherwise many signals get optimized away. On real designs, perhaps you are better off with +acc* (Read doc for more)

3. Once the GUI comes up, the assertions are not listed in its own browser – ideally I would have liked to see a menu item under “Tools” menu. But it is hidden under “View –> Coverage –> Assertions” – GOK why! (GOK – God Only Knows)  :)

4. Before starting simulation, enable ATV

5. After sim one can do “view ATV” for advanced debug!

Questa_dbg

 

Get free daily email updates!

Follow us!

Wednesday 5 February 2014

Motorola Moto G Now In India


Motorola's much awaited Android smartphone Moto G has been launched in India at a price point of Rs 12,499 for the 8GB variant and Rs 13,999 for the 16GB storage variant.
The company took to twitter to confirm the news. "Moto G is now available in India, exclusively on Flipkart. Order it today to take advantage of launch offers," it said
Available exclusively on Flipkart initially, As a promotional gesture, the online retailer is offering Rs.500 discounts on e-Books, Rs.1000-off on the clothing, flat 70-percent off on cover-cases and some lucky  consumers will also stand a chance to win 100-percent cash back (in terms of store credit) with the purchase of Moto G. [offer is for limited period only]

At the moment the 16GB model has been listed on Flipkart ( with 1 year manufacturer warranty for Phone and 6 months warranty for in the box accessories Motorola India Warranty and Free Transit Insurance.)

Apart from Flipkart, Snapdeal is also retailing Moto G. As of now, the pre-order booking has been closed due non-availability of stocks. It recorded 1000 orders within 2 hours of listing the Moto G on 4 February, reported BGR.
Feature-rich Moto G flaunts a 4.5-inch HD (1270x720p) LCD screen protected by Corning Gorilla Glass 3 shield and houses dual-SIM slots. It run on the Android v4.3 Jelly Bean (guaranteed to get KitKat update) powered by a Qualcomm Snapdragon 400 series quad-core processor backed by 1GB RAM and comes in 8GG and 16GB variants.
With the launch, Motorola Moto G is expected to give a stiff challenge to low-cost Indian smartphones like Micromax Canvas Turbo Mini A200, Lava Iris Pro 30, Karbonn Titanium S5 plus and others.

Motorola G Specifications:

Model Motorola Moto G (Dual-SIM model confirmed for India)
Display 4.5-inch HD (1270x720p) LCD screen with 329 ppi (pixels per inch), comes protected with Corning Gorilla Glass 3 shield.
OS  Android v4.3, Guaranteed update of Android v4.4 KitKat
Processor Qualcomm Snapdragon 400 series quad-core processor with 1.2GHz CPU speed
RAM 1GB
Storage capacity 8GB/16 GB variants ( no microSD card slot)
Camera Main: 5.0-megapixel camera with LED flash
Front: 1.3-megapixel camera
Network 3G
Battery 2,070 mAh
Add-ons Wi-Fi (802.11 b/g/n),Bluetooth v4.0, USB, NFC, GPS
Dimensions 129.9 x 65.9 x 11.6 mm
Weight 143 g
Price 8GB: Rs.12,999 & 16GB: Rs.14,499

Saturday 1 February 2014

ModelSim VS QuestaSim

We as an ASIC Engineer are frequently using different simulators for our simulation activity. At present time we are frequently using modelsim/Questa and vcs. These are the industry popular and well proven simulators.
We have seen people who are using modelsim / Questa simulator from Mentors but dont really know the exact difference between them.
We have captured some difference between Questa and Modelsim. Though both are simulators from the Mentor Graphics there are some differences between them. Below are the differences We captured :

ModelSim is Mentor Graphics HDL simulator. Questa is Mentor Graphics advanced verification platform that uses ModelSim as its core simulation engine.

Features of the two tools can be grouped into five categories and compared as follows:

1. Language Support
- ModelSim supports SystemVerilog IEEE 1800 for Design only, as well as VHDL (1987, 1993, 2002), Verilog (1995, 2001, 2005), as well as options for mixed language and language neutral licensing and support for SystemC 2.2 IEEE 1666/OSCI 2.2.
- Questa supports all of this as well as SystemVerilog IEEE 1800 for Verification, mixed language licensing (Questa is by default language neutral), PSL IEEE 1850, and SystemC 2.2 IEEE 1666/OSCI 2.2 as standard features.

2. Simulation
- ModelSim supports a single-kernel simulation engine, Verilog RTL & gate level performance optimizations, VHDL RTL & VITAL performance optimizations, performance and memory profiler, separate elaboration, waveform management tool set, VCD and extended VCD support, VCD re-simulation, batch mode simulation, integrated simulation, checkpoint & restore,
- Questa’s simulation support is identical to ModelSim’s

3. Design Entry, Debug, and Analysis
- ModelSim supports an HDL editor, integrated project manager, source code templates and wizards, interactive and post-simulation debug, dataflow graphical and textual causality traceback, source annotation, memory window, extra standalone viewer, multiple waveform windows, waveform compare, C Debugger and transaction viewing for SystemC.
- Questa supports all of this and the C debugger and transaction viewing for SystemC and SystemVerilog are standard parts of the product.

4. Advanced Verification Methods
- ModelSim does not support any advanced verification features.
- Questa supports assertion-based verification (including a library of pre-written assertions called Questa Verification Library or QVL, and an assertion thread debugger), automated test stimulus generation via a constraint solver engine, and PowerAware RTL verification supporting both CPF and UPF formats.

5 Verification Management and Coverage
- ModelSim supports Code Coverage (it is included in ModelSim SE, and an option to other versions of ModelSim).
-Questa supports code coverage along with functional coverage, a unified coverage database (UCDB), coverage viewing, test ranking, and test plan tracking

Hope you find this information useful.

Get free daily email updates!

Follow us!

VHDL Tutorial: The Beginner’s Guide to State Machines - VHDL

VHDL Tutorial: The Beginner’s Guide to State Machines - VHDL: Implementing state machines in VHDL is fun and easy provided you stick to some fairly well established forms.